Redirigiendo al acceso original de articulo en 16 segundos...
ARTÍCULO
TITULO

Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis

Dionysios Filippas    
Chrysostomos Nicopoulos and Giorgos Dimitrakopoulos    

Resumen

Machine-learning accelerators rely on floating-point matrix and vector multiplication kernels. To reduce their cost, customized many-term fused architectures are preferred, which improve the latency, power, and area of the designs. In this work, we design a parameterized fused many-term floating-point dot product architecture that is ready for high-level synthesis. In this way, we can exploit the efficiency offered by a well-structured fused dot-product architecture and the freedom offered by high-level synthesis in tuning the design?s pipeline to the selected floating-point format and architectural constraints. When compared with optimized dot-product units implemented directly in RTL, the proposed design offers lower-latency implementations under the same clock frequency with marginal area savings. This result holds for a variety of floating-point formats, including standard and reduced-precision representations.

 Artículos similares